aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl
Commit message (Collapse)AuthorAgeFilesLines
* ghdllocal.adb(Build_Dependence): rebuild file dependencies.Tristan Gingold2023-03-135-405/+322
| | | | | vhdl: remove Get/Set_File_Dependence_List. Fix #2388
* vhdl: handle selected waveform assignmentTristan Gingold2023-03-093-51/+75
|
* trans-chap2.adb: fix a warningTristan Gingold2023-02-221-1/+0
|
* vhdl-sem_decls(sem_object_type_from_value): refine.Tristan Gingold2023-02-191-5/+20
| | | | | Only use object type when it completes an unconstrained array type like in vhdl 93.
* trans-chap2: elaborate dependencies of macro-expanded packages.Tristan Gingold2023-02-191-19/+38
| | | | Fix #2353
* sem_record_aggregate: add a check for constraints. Fix #2350Tristan Gingold2023-02-131-11/+23
|
* vhdl-sem_lib: units during analysis can depend only on analyzed units.Tristan Gingold2023-02-111-0/+6
|
* synth: preliminary work for PSL endpointsTristan Gingold2023-02-081-1/+2
|
* trans-chap8: fix a crash while checking bounds in signal assignments.Tristan Gingold2023-02-081-1/+1
| | | | Fix #2346
* trans-chap8: Fix individual assocs of signal parametersTristan Gingold2023-02-081-22/+44
| | | | (for non-suspendable procedures).
* trans-chap8: minor reindentationTristan Gingold2023-02-081-1/+1
|
* synth: use same layout for records in memory as translateTristan Gingold2023-02-081-1/+1
|
* vhdl-canon: remove signal parameters for all-sensitized processes.Tristan Gingold2023-02-084-9/+54
| | | | Fix #2344
* vhdl-prints: improve output of generate statementsTristan Gingold2023-02-041-12/+5
|
* translate: add --no-elaboration flagTristan Gingold2023-02-045-2/+10
|
* vhdl-sem_decls: fix a regression on subtype indication. Fix #2336Tristan Gingold2023-02-031-1/+1
|
* vhdl: reduce use of is_anonymous_type_definitionTristan Gingold2023-02-036-34/+40
|
* TBMTristan Gingold2023-02-024-12/+56
|
* translate: improve support for Flag_ElaborationTristan Gingold2023-02-022-2/+10
|
* vhdl: avoid error storms in assertionsTristan Gingold2023-02-022-2/+4
|
* trans-chap3: minor rewriteTristan Gingold2023-02-021-1/+1
|
* translate: rework translate_object_subtype_indication.Tristan Gingold2023-02-024-61/+78
| | | | Fix #2337
* translate: add a flag to disable elaborationTristan Gingold2023-01-313-106/+125
|
* vhdl-prints: minor rewriteTristan Gingold2023-01-311-31/+33
|
* translation.adb: avoid a crash on unused resolver. Fix #2333Tristan Gingold2023-01-301-5/+3
|
* elab-vhdl_annotations: refactoringTristan Gingold2023-01-291-0/+1
|
* vhdl: add Is_Owned_Subtype_IndicationTristan Gingold2023-01-292-0/+33
|
* vhdl/trans: support suspend statesTristan Gingold2023-01-288-16/+54
|
* vhdl-sem_decls: do not reassign type declarator on subtype aliasesTristan Gingold2023-01-281-13/+19
|
* vhdl: minor changes to avoid recomputationTristan Gingold2023-01-282-1/+1
|
* vhdl-evaluation: factorize codeTristan Gingold2023-01-281-108/+94
|
* src/grt: extract grt-files_lib from grt-filesTristan Gingold2023-01-272-19/+19
|
* trans: add commentsTristan Gingold2023-01-271-1/+2
|
* ghdlrun: extract trans_foreignTristan Gingold2023-01-272-0/+138
|
* vhdl-evaluation: abstractionTristan Gingold2023-01-261-18/+13
|
* vhdl-prints: add Print_StringTristan Gingold2023-01-264-40/+154
| | | | Move Vstring methods from formatters to prints.
* vhdl-evaluation: refactoringTristan Gingold2023-01-261-49/+22
|
* vhdl-sem_decls: allow use on non-elaborated functions in generic typesTristan Gingold2023-01-261-3/+9
|
* vhdl-sem_decl: handle subtype of interface type. Fix #2326Tristan Gingold2023-01-261-0/+1
|
* vhdl-sem_names: adjust for for element attributeTristan Gingold2023-01-261-0/+1
|
* vhdl: improve range checks, fix #2323Tristan Gingold2023-01-256-236/+473
|
* ghdldrv: extract trans_link from ghdlrunTristan Gingold2023-01-212-0/+495
|
* vhdl: refactoring - remove trans_be, mainly added to vhdl-back_endTristan Gingold2023-01-168-269/+261
|
* vhdl-sem.adb: also check elaboration status within package bodiesTristan Gingold2023-01-151-6/+19
|
* vhdl: add a warning to detect use before elaborationTristan Gingold2023-01-157-0/+92
|
* vhdl: add Get/Set_Elaboration_FlagTristan Gingold2023-01-144-265/+326
|
* simul: handle PSL abortsTristan Gingold2023-01-121-0/+4
|
* vhdl-sem_assocs(rewrite_non_object_association): set flagTristan Gingold2023-01-121-0/+1
| | | | For correct pretty-print
* vhdl: clear associated_type in Sem_Generic_Association_ChainTristan Gingold2023-01-124-9/+83
| | | | So that it is cleared after use.
* synth: fix handle of protected type bodies within instantiated packages.Tristan Gingold2023-01-122-1/+22
|