aboutsummaryrefslogtreecommitdiffstats
path: root/src/grt/grt-vcd.adb
Commit message (Expand)AuthorAgeFilesLines
* grt-vcd.adb: add option --vcd-4states to dump a strict vcd file. Fix #1759Tristan Gingold2021-09-301-7/+17
* grt-vcd: exclude arrays from dump. Fix #1881Tristan Gingold2021-09-291-59/+67
* vpi: handle get_value for indexed names. Fix #237Tristan Gingold2021-06-101-1/+13
* grt-vcd: add get_vcd_value_kindTristan Gingold2021-06-081-30/+38
* grt-vpi: improve support of arraysTristan Gingold2021-06-071-15/+17
* grt: preliminary work to support arrays in vpiTristan Gingold2021-06-061-17/+30
* update license headersumarcor2021-01-141-11/+9
* grt: fix warnings from gnatgpl2020.Tristan Gingold2020-05-231-1/+3
* Constants in vpi (#1297)Ben Reynwar2020-05-101-1/+2
* grt: extract grt.to_strings from grt.imagesTristan Gingold2019-06-011-3/+3
* Pass time-resolution to grt.Tristan Gingold2018-10-211-1/+15
* Rework translation of unbounded and complex types.Tristan Gingold2018-10-211-1/+2
* grt: rework error API (WIP).Tristan Gingold2018-09-161-1/+1
* vcd: add global scope.Tristan Gingold2018-07-251-33/+32
* grt.fcvt: use format_image instead of to_string (cleanup).Tristan Gingold2017-04-191-1/+1
* Add more testcases for bug069Tristan Gingold2017-04-021-75/+17
* rtis/vcd/ghw: handle record subtypes.Tristan Gingold2017-02-221-16/+22
* unbounded records: add rti support (WIP)Tristan Gingold2017-02-211-2/+2
* Support added for * and **. Please note that wildcards inside names like /top...Jonas Baggett2016-11-021-17/+17
* There is a new --write-opt-file option that will create a wave option file wi...Jonas Baggett2016-11-011-29/+30
* vcd,fst: avoid spurious error message for packages.Tristan Gingold2016-09-031-0/+4
* Extend wave option to VCD and FST #128Jonsba2016-08-031-25/+73
* vpi: handle parameters/generics.Tristan Gingold2016-07-031-113/+115
* grt-vcd: rename vcd_var_kind to vcd_var_type.Tristan Gingold2016-07-031-14/+14
* fst: dump enumerations.Tristan Gingold2016-06-171-66/+93
* Pass signal values to interfaces. 'sigptr' optimization.Tristan Gingold2015-12-181-6/+6
* Rework callbacks, support cocotb.Tristan Gingold2015-10-301-3/+32
* grt: add --list-features, and --has-featureTristan Gingold2015-09-141-1/+2
* vcd/fst: handle complex signals. Fix crash.Tristan Gingold2014-12-211-0/+4
* grt-vcd: in verilog_wire_info, replace addr by sigs.Tristan Gingold2014-12-011-32/+30
* Initial support of FST dump format.Tristan Gingold2014-11-291-2/+1
* Move files and dirs from translate/Tristan Gingold2014-11-051-0/+845