aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
* Asked black for his opinion.Patrick Lehmann2021-06-222-7/+33
|
* Start handling function calls.Patrick Lehmann2021-06-223-9/+37
|
* Renamed 'NodeToName' to 'GetNameOfNode'.Patrick Lehmann2021-06-226-24/+24
|
* Handle more expressions (logical, compare, rem/mod).Patrick Lehmann2021-06-222-19/+71
|
* Handle OthersAggregateElement properly.Patrick Lehmann2021-06-221-6/+3
|
* Added concatenation and string literal.Patrick Lehmann2021-06-223-27/+42
|
* New testing file.Patrick Lehmann2021-06-222-3/+3
|
* pyGHDL: pin pyVHDLModelumarcor2021-06-221-1/+1
|
* testsuite/gna/testsuite.py: add --jobs optionTristan Gingold2021-06-221-0/+3
|
* testsuite/pyunit/dom: test only vhdl source files from sanity/Tristan Gingold2021-06-221-1/+1
|
* testsuite/synth: more checks of inferred memoriesTristan Gingold2021-06-222-6/+8
|
* synth-vhdl_stmts: add location on AddidxTristan Gingold2021-06-211-0/+2
|
* testsuite/synth: check ram in mem01 and mem02Tristan Gingold2021-06-2110-28/+34
|
* synth-environment: early transformation of dyn_insert to dyn_insert_enTristan Gingold2021-06-214-25/+59
| | | | Simplifies memory extraction
* synth-vhdl_stmts: merge static extract before dyn_extract.Tristan Gingold2021-06-211-4/+2
| | | | No reasons to use an extra gate.
* synth-vhdl_expr: adjust width of memidx for indexed names.Tristan Gingold2021-06-211-1/+1
| | | | In general the width of memidx is ignored, but it's better to correctly set it
* Merge pull request #1798 from Paebbels/paebbels/aggregatestgingold2021-06-2022-1681/+3530
|\ | | | | Python-C/Ada Bindings - Updated decorator
| * Fixed missed renaming. Removed formatRange.Patrick Lehmann2021-06-191-28/+5
| |
| * Fixed a black issue.Patrick Lehmann2021-06-191-1/+1
| |
| * Changes TypeVars to use CDLL types like c_int32.Patrick Lehmann2021-06-191-7/+7
| |
| * Simplified prettyprint, as pyVHDLModel has now builtin __str__ methods for ↵Patrick Lehmann2021-06-194-134/+38
| | | | | | | | expressions, aggregates and literals.
| * Added handling of Parenthesis.Patrick Lehmann2021-06-195-18/+43
| |
| * Testcase(s) for expressions.Patrick Lehmann2021-06-192-2/+52
| |
| * Added testcase for integer literals.Patrick Lehmann2021-06-193-9/+105
| |
| * Regenerated interface files.Patrick Lehmann2021-06-197-1488/+2985
| |
| * Added handling of new types to the decorator for the Python-C/Ada binding.Patrick Lehmann2021-06-192-22/+65
| |
| * Improvements to pyGHDL.dom.Patrick Lehmann2021-06-196-77/+115
| |
| * pyGHDL: handle c_doubleumarcor2021-06-193-4/+7
| |
| * pyGHDL: run blackumarcor2021-06-194-14/+38
| |
| * Better aggregate handlingPatrick Lehmann2021-06-193-13/+27
| |
| * Format aggregates.Patrick Lehmann2021-06-192-2/+29
| |
| * First step towards aggregates.Patrick Lehmann2021-06-196-4/+155
| |
* | Add unit tests for pyGHDL DOMPatrick Lehmann2021-06-193-2/+25
|\ \ | |/ |/|
| * pyGHDL: bump pyVHDLModel to 0.10.1umarcor2021-06-191-1/+1
| |
| * testsuite/pyunit: add opts '-vs' to pytest callumarcor2021-06-191-1/+1
| |
| * testsuite/pyunit/dom: replace AllVHDLSources.sh with AllSources.pyumarcor2021-06-193-82/+24
| |
| * ci: add MSYS2 jobs for testing DOM with AllVHDLSources.shumarcor2021-06-191-1/+51
| |
| * testsuite/pyunit: add AllVHDLSources.shumarcor2021-06-191-0/+31
|/
* pyGHDL/cli/DOM: if an exception arises return non zero exit code and do not ↵umarcor2021-06-191-5/+8
| | | | pretty print
* vhdl-nodes: Initialize global state to allow restart.Tristan Gingold2021-06-192-0/+4
| | | | Fix handling of multiple files by cli/DOM.py
* pyGHDL: use LocationType instead of Location_TypeTristan Gingold2021-06-194-14/+13
|
* pyGHDL/cli: make DOM.py executableumarcor2021-06-181-0/+0
|
* pyGHDL/dom: reformattingTristan Gingold2021-06-183-5/+14
|
* pyGHDL/dom: add some guards to avoid crash for optional fieldsTristan Gingold2021-06-183-2/+6
|
* Added handling of Floating Point.Patrick Lehmann2021-06-184-10/+28
|
* pyGHDL: automatically add type annotations for nodes.pyTristan Gingold2021-06-185-759/+865
| | | | Add missing types in _types.py, adjust lsp
* vhdl-nodes.ads: use pnodes layout for Number_Base_TypeTristan Gingold2021-06-181-1/+8
| | | | So that it can be extracted.
* pyGHDL/libghdl/_decorator.py: factorize code, handle c_uint32Tristan Gingold2021-06-181-46/+29
|
* pyGHDL/cli/DOM: support passing target files as CLI argumentsumarcor2021-06-181-7/+19
|
* Added missing file headers.Patrick Lehmann2021-06-183-4/+66
|