aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/synth/issue2176/math_real_test.vhdl23
-rwxr-xr-xtestsuite/synth/issue2176/testsuite.sh7
2 files changed, 30 insertions, 0 deletions
diff --git a/testsuite/synth/issue2176/math_real_test.vhdl b/testsuite/synth/issue2176/math_real_test.vhdl
new file mode 100644
index 000000000..61223d64a
--- /dev/null
+++ b/testsuite/synth/issue2176/math_real_test.vhdl
@@ -0,0 +1,23 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use ieee.math_real.all;
+
+library work;
+
+entity math_real_test is
+ port
+ (
+ dout : out std_logic_vector(15 downto 0)
+ );
+end math_real_test;
+
+architecture rtl of math_real_test is
+
+ signal threshold : std_logic_vector(15 downto 0) := std_logic_vector(to_unsigned(integer(floor(log10(sqrt(4096.0 * MATH_SQRT_PI)))), 16));
+
+begin
+
+ dout <= threshold;
+
+end;
diff --git a/testsuite/synth/issue2176/testsuite.sh b/testsuite/synth/issue2176/testsuite.sh
new file mode 100755
index 000000000..1aa58681f
--- /dev/null
+++ b/testsuite/synth/issue2176/testsuite.sh
@@ -0,0 +1,7 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+synth_only math_real_test
+
+echo "Test successful"