diff options
Diffstat (limited to 'testsuite')
-rw-r--r-- | testsuite/gna/issue2276/mwe.vhdl | 16 | ||||
-rwxr-xr-x | testsuite/gna/issue2276/testsuite.sh | 11 |
2 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/gna/issue2276/mwe.vhdl b/testsuite/gna/issue2276/mwe.vhdl new file mode 100644 index 000000000..28b06009e --- /dev/null +++ b/testsuite/gna/issue2276/mwe.vhdl @@ -0,0 +1,16 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity mwe is +end entity; + +architecture tb of mwe is + + constant ic_slv : std_logic_vector(63 downto 0) := x"7000000000000228"; + signal ic_bv : bit_vector(ic_slv'range); + +begin + + ic_bv <= to_bitvector(ic_slv); + +end architecture; diff --git a/testsuite/gna/issue2276/testsuite.sh b/testsuite/gna/issue2276/testsuite.sh new file mode 100755 index 000000000..4ade39fc0 --- /dev/null +++ b/testsuite/gna/issue2276/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze mwe.vhdl +elab_simulate mwe + +clean + +echo "Test successful" |