aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/integer-fanout.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/integer-fanout.vhdl')
-rw-r--r--testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/integer-fanout.vhdl35
1 files changed, 35 insertions, 0 deletions
diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/integer-fanout.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/integer-fanout.vhdl
new file mode 100644
index 000000000..1e479aa8f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/objects/signals/assignments/integer-fanout.vhdl
@@ -0,0 +1,35 @@
+entity test_bench is
+end test_bench;
+
+architecture only of test_bench is
+ signal sig : integer := 0;
+begin -- only
+ assign: process
+ begin -- process p
+ sig <= 1;
+ wait;
+ end process assign;
+
+ check1: process
+ begin -- process check1
+ wait for 1 fs;
+ assert sig = 1 report "TEST FAILED" severity FAILURE;
+ wait;
+ end process check1;
+
+ check2: process
+ begin -- process check1
+ wait for 1 fs;
+ assert sig = 1 report "TEST FAILED" severity FAILURE;
+ wait;
+ end process check2;
+
+ check3: process
+ begin -- process check1
+ wait for 2 fs;
+ report "TEST PASSED" severity NOTE;
+ wait;
+ end process check3;
+
+
+end only;