aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/memmux01/memmux04.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/memmux01/memmux04.vhdl')
-rw-r--r--testsuite/synth/memmux01/memmux04.vhdl23
1 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/synth/memmux01/memmux04.vhdl b/testsuite/synth/memmux01/memmux04.vhdl
new file mode 100644
index 000000000..04fed7d62
--- /dev/null
+++ b/testsuite/synth/memmux01/memmux04.vhdl
@@ -0,0 +1,23 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity memmux04 is
+ port (ad : std_logic_vector (1 downto 0);
+ val : std_logic;
+ dat : std_logic_vector (3 downto 0);
+ res : out std_logic_vector (3 downto 0));
+end memmux04;
+
+architecture behav of memmux04 is
+begin
+ process (ad, val)
+ variable hi, lo : natural;
+ variable t : std_logic_vector(3 downto 0);
+ begin
+ lo := to_integer(unsigned(ad));
+ t := dat;
+ t (lo) := val;
+ res <= t;
+ end process;
+end behav;