aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue938/ent.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue938/ent.vhdl')
-rw-r--r--testsuite/synth/issue938/ent.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/synth/issue938/ent.vhdl b/testsuite/synth/issue938/ent.vhdl
new file mode 100644
index 000000000..6d38a9548
--- /dev/null
+++ b/testsuite/synth/issue938/ent.vhdl
@@ -0,0 +1,20 @@
+entity ent is
+ port (
+ r : in bit;
+ s : in bit;
+ q : out bit
+ );
+end entity;
+
+architecture a of ent is
+begin
+ process(r, s)
+ begin
+ if r = '1' then
+ q <= '0';
+ elsif s = '1' then
+ q <= '1';
+ end if;
+ end process;
+end;
+