aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2328/test_tf.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue2328/test_tf.vhdl')
-rw-r--r--testsuite/synth/issue2328/test_tf.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/synth/issue2328/test_tf.vhdl b/testsuite/synth/issue2328/test_tf.vhdl
new file mode 100644
index 000000000..dbf9f81ef
--- /dev/null
+++ b/testsuite/synth/issue2328/test_tf.vhdl
@@ -0,0 +1,20 @@
+entity test_tf is
+ port(
+ a: in bit_vector(3 downto 0);
+ red_and: out bit;
+ red_nand: out bit;
+ red_or: out bit;
+ red_nor: out bit;
+ red_xor: out bit;
+ red_xnor: out bit);
+end test_tf;
+
+architecture behavior of test_tf is
+begin
+ red_and <= and a;
+ red_nand <= nand a;
+ red_or <= or a;
+ red_nor <= nor a;
+ red_xor <= xor a;
+ red_xnor <= xnor a;
+end behavior;