aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2204/crash4.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue2204/crash4.vhdl')
-rw-r--r--testsuite/synth/issue2204/crash4.vhdl28
1 files changed, 28 insertions, 0 deletions
diff --git a/testsuite/synth/issue2204/crash4.vhdl b/testsuite/synth/issue2204/crash4.vhdl
new file mode 100644
index 000000000..5513ef296
--- /dev/null
+++ b/testsuite/synth/issue2204/crash4.vhdl
@@ -0,0 +1,28 @@
+library ieee;
+ use ieee.std_logic_1164.all;
+
+entity crash is
+ port (
+ clk : in std_logic
+ );
+end entity crash;
+
+architecture rtl of crash is
+ signal index : std_logic := '0';
+begin
+
+ -- process (clk) is
+ -- begin
+ -- if rising_edge(clk) then
+-- >> This prints an error, but doesn't crash ghdl
+ -- index <= index = index'LAST_VALUE;
+ -- end if;
+ -- end process;
+
+ -- psl default clock is rising_edge(clk);
+
+ -- psl crash_my_ghdl2 : cover
+ -- {index'active and (index'active and true)};
+
+
+end architecture rtl;