aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2084/bug.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue2084/bug.vhdl')
-rw-r--r--testsuite/synth/issue2084/bug.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/synth/issue2084/bug.vhdl b/testsuite/synth/issue2084/bug.vhdl
new file mode 100644
index 000000000..847ac0f1b
--- /dev/null
+++ b/testsuite/synth/issue2084/bug.vhdl
@@ -0,0 +1,15 @@
+library IEEE;
+use IEEE.std_logic_1164.all;
+
+entity bug is
+ port (
+ src : in std_ulogic_vector(31 downto 0)
+ );
+end bug;
+
+architecture rtl of bug is
+ type array_t is array(0 to 0) of src'subtype;
+ signal s : array_t;
+begin
+
+end architecture;