aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2002/bug.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue2002/bug.vhdl')
-rw-r--r--testsuite/synth/issue2002/bug.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/synth/issue2002/bug.vhdl b/testsuite/synth/issue2002/bug.vhdl
new file mode 100644
index 000000000..176dcea0d
--- /dev/null
+++ b/testsuite/synth/issue2002/bug.vhdl
@@ -0,0 +1,14 @@
+library IEEE;
+use IEEE.std_logic_1164.all;
+
+entity bug is
+ port (
+ o : out std_ulogic_vector(33 downto 0)
+ );
+end bug;
+
+architecture struct of bug is
+ constant c : std_ulogic_vector(33 downto 0) := "0000000000000000000000000001111100";
+begin
+ o <= c;
+end architecture;