aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1951/tb_sub03.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1951/tb_sub03.vhdl')
-rw-r--r--testsuite/synth/issue1951/tb_sub03.vhdl29
1 files changed, 29 insertions, 0 deletions
diff --git a/testsuite/synth/issue1951/tb_sub03.vhdl b/testsuite/synth/issue1951/tb_sub03.vhdl
new file mode 100644
index 000000000..732f00f20
--- /dev/null
+++ b/testsuite/synth/issue1951/tb_sub03.vhdl
@@ -0,0 +1,29 @@
+entity tb_sub03 is
+end tb_sub03;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_sub03 is
+ signal i, o : std_logic_vector(3 downto 0) := x"0";
+begin
+ dut: entity work.sub03
+ port map (i => i, o => o);
+
+ process
+ begin
+ i <= x"0";
+ wait for 1 ns;
+ assert o = x"8" severity failure;
+
+ i <= x"8";
+ wait for 1 ns;
+ assert o = x"0" severity failure;
+
+ i <= x"9";
+ wait for 1 ns;
+ assert o = x"1" severity failure;
+
+ wait;
+ end process;
+end behav;