aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1951/sub04.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1951/sub04.vhdl')
-rw-r--r--testsuite/synth/issue1951/sub04.vhdl13
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/synth/issue1951/sub04.vhdl b/testsuite/synth/issue1951/sub04.vhdl
new file mode 100644
index 000000000..827a5a11e
--- /dev/null
+++ b/testsuite/synth/issue1951/sub04.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_unsigned.all;
+
+entity sub04 is
+ port (i : std_logic_vector (3 downto 0);
+ o : out std_logic_vector (3 downto 0));
+end entity;
+
+architecture arch of sub04 is
+begin
+ o <= i - (-9);
+end arch;