aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1945/alias01.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1945/alias01.vhdl')
-rw-r--r--testsuite/synth/issue1945/alias01.vhdl16
1 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/synth/issue1945/alias01.vhdl b/testsuite/synth/issue1945/alias01.vhdl
new file mode 100644
index 000000000..89bf24b6b
--- /dev/null
+++ b/testsuite/synth/issue1945/alias01.vhdl
@@ -0,0 +1,16 @@
+library ieee;
+use ieee.STD_LOGIC_1164.all;
+
+entity alias01 is
+ port(
+ i : in std_logic_vector(7 downto 0);
+ o : out std_logic
+ );
+end entity;
+
+architecture rtl of alias01 is
+ alias i_alias : std_logic_vector(7 downto 2) is i(6 downto 1);
+ alias lower : std_logic_vector(3 downto 0) is i_alias(6 downto 3);
+begin
+ o <= '1' when lower = "0000" else '0';
+end architecture;