aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1428/repro4b.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1428/repro4b.vhdl')
-rw-r--r--testsuite/synth/issue1428/repro4b.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/synth/issue1428/repro4b.vhdl b/testsuite/synth/issue1428/repro4b.vhdl
new file mode 100644
index 000000000..1c94809fd
--- /dev/null
+++ b/testsuite/synth/issue1428/repro4b.vhdl
@@ -0,0 +1,17 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity repro4b is
+ port (a : out std_logic;
+ b : std_logic_vector(7 downto 0));
+end;
+
+architecture behav of repro4b is
+ signal s : std_logic_vector(1 to 8);
+begin
+ s <= b;
+ a <= '1' when s /= x"00" else '0';
+
+ s (2) <= '0';
+ s (5) <= '0';
+end behav;