aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1310/issue.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1310/issue.vhdl')
-rw-r--r--testsuite/synth/issue1310/issue.vhdl22
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/synth/issue1310/issue.vhdl b/testsuite/synth/issue1310/issue.vhdl
new file mode 100644
index 000000000..9ed2e902c
--- /dev/null
+++ b/testsuite/synth/issue1310/issue.vhdl
@@ -0,0 +1,22 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity issue is
+ port (sig_gt, sig_ge, sig_lt, sig_le : out boolean;
+ uns_gt, uns_ge, uns_lt, uns_le : out boolean);
+end issue;
+
+architecture beh of issue is
+begin
+ -- all of those works
+ uns_gt <= (unsigned'("1111") > unsigned'("0111"));
+ uns_ge <= (unsigned'("1111") >= unsigned'("0111"));
+ uns_lt <= (unsigned'("1111") < unsigned'("0111"));
+ uns_le <= (unsigned'("1111") <= unsigned'("0111"));
+
+ sig_gt <= (signed'("1111") > signed'("0111"));
+ sig_ge <= (signed'("1111") >= signed'("0111"));
+ sig_lt <= (signed'("1111") < signed'("0111"));
+ sig_le <= (signed'("1111") <= signed'("0111"));
+end architecture beh;