aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1258/tb_ent.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1258/tb_ent.vhdl')
-rw-r--r--testsuite/synth/issue1258/tb_ent.vhdl45
1 files changed, 45 insertions, 0 deletions
diff --git a/testsuite/synth/issue1258/tb_ent.vhdl b/testsuite/synth/issue1258/tb_ent.vhdl
new file mode 100644
index 000000000..1d9a2db92
--- /dev/null
+++ b/testsuite/synth/issue1258/tb_ent.vhdl
@@ -0,0 +1,45 @@
+entity tb_ent is
+end tb_ent;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_ent is
+ signal s : std_ulogic;
+ signal din : std_ulogic_vector(15 downto 0);
+ signal dout : std_ulogic;
+begin
+ dut: entity work.ent
+ port map (s, din, dout);
+
+ process
+ begin
+ s <= '1';
+ din <= x"00_00";
+ wait for 1 ns;
+ assert dout = '0' severity failure;
+
+ din <= x"04_00";
+ wait for 1 ns;
+ assert dout = '1' severity failure;
+
+ din <= x"10_40";
+ wait for 1 ns;
+ assert dout = '0' severity failure;
+
+ din <= x"80_01";
+ wait for 1 ns;
+ assert dout = '0' severity failure;
+
+ din <= x"80_00";
+ wait for 1 ns;
+ assert dout = '1' severity failure;
+
+ s <= '0';
+ din <= x"80_00";
+ wait for 1 ns;
+ assert dout = '0' severity failure;
+
+ wait;
+ end process;
+end behav;