aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1117/tb_ent.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1117/tb_ent.vhdl')
-rw-r--r--testsuite/synth/issue1117/tb_ent.vhdl22
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/synth/issue1117/tb_ent.vhdl b/testsuite/synth/issue1117/tb_ent.vhdl
new file mode 100644
index 000000000..bed2308cc
--- /dev/null
+++ b/testsuite/synth/issue1117/tb_ent.vhdl
@@ -0,0 +1,22 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity tb_ent is
+end;
+
+architecture behav of tb_ent is
+ signal r : unsigned (31 downto 0);
+begin
+ dut: entity work.ent
+ generic map (g => x"ffff_0001")
+ port map (res => r);
+
+ process
+ begin
+ wait for 1 ns;
+ assert r = x"ffff0001" severity failure;
+ wait;
+ end process;
+end behav;
+