aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/insert01/tb_insert01.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/insert01/tb_insert01.vhdl')
-rw-r--r--testsuite/synth/insert01/tb_insert01.vhdl26
1 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/synth/insert01/tb_insert01.vhdl b/testsuite/synth/insert01/tb_insert01.vhdl
new file mode 100644
index 000000000..276998541
--- /dev/null
+++ b/testsuite/synth/insert01/tb_insert01.vhdl
@@ -0,0 +1,26 @@
+entity tb_insert01 is
+end tb_insert01;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_insert01 is
+ signal a : std_logic_vector (3 downto 0);
+ signal b : std_logic;
+ signal o0, o1, o2, o3 : std_logic_vector (3 downto 0);
+begin
+ dut: entity work.insert01
+ port map (a, b, o0, o1, o2, o3);
+
+ process
+ begin
+ a <= "0111";
+ b <= '0';
+ wait for 1 ns;
+ assert o0 = "0110" severity failure;
+ assert o1 = "0101" severity failure;
+ assert o2 = "0011" severity failure;
+ assert o3 = "0111" severity failure;
+ wait;
+ end process;
+end behav;