aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/insert01/insert01.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/insert01/insert01.vhdl')
-rw-r--r--testsuite/synth/insert01/insert01.vhdl26
1 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/synth/insert01/insert01.vhdl b/testsuite/synth/insert01/insert01.vhdl
new file mode 100644
index 000000000..4179006d8
--- /dev/null
+++ b/testsuite/synth/insert01/insert01.vhdl
@@ -0,0 +1,26 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity insert01 is
+ port (a : std_logic_vector (3 downto 0);
+ b : std_logic;
+ o0, o1, o2, o3 : out std_logic_vector (3 downto 0));
+end insert01;
+
+architecture behav of insert01 is
+begin
+ process(a, b)
+ begin
+ o0 <= a;
+ o0 (0) <= b;
+
+ o1 <= a;
+ o1 (1) <= b;
+
+ o2 <= a;
+ o2 (2) <= b;
+
+ o3 <= a;
+ o3 (3) <= b;
+ end process;
+end behav;