aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/if02/if01.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/if02/if01.vhdl')
-rw-r--r--testsuite/synth/if02/if01.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/synth/if02/if01.vhdl b/testsuite/synth/if02/if01.vhdl
new file mode 100644
index 000000000..fa515cd7d
--- /dev/null
+++ b/testsuite/synth/if02/if01.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity if01 is
+ port (a : std_logic;
+ b : std_logic;
+ sel : std_logic;
+ s : out std_logic);
+end if01;
+
+architecture behav of if01 is
+begin
+ s <= a when sel = '0'
+ else b when sel = '1';
+end behav;