aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/gen01/dly1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/gen01/dly1.vhdl')
-rw-r--r--testsuite/synth/gen01/dly1.vhdl23
1 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/synth/gen01/dly1.vhdl b/testsuite/synth/gen01/dly1.vhdl
new file mode 100644
index 000000000..69ac5a3e1
--- /dev/null
+++ b/testsuite/synth/gen01/dly1.vhdl
@@ -0,0 +1,23 @@
+entity gen_delay is
+ generic (type T);
+
+ port (i : in T;
+ o : out T);
+end gen_delay;
+
+architecture arch of gen_delay is
+begin
+ o <= i;
+end arch;
+
+entity dly1 is
+ port (i : in bit;
+ o : out bit);
+end dly1;
+
+architecture struct of dly1 is
+begin
+ inst: entity work.gen_delay
+ generic map (T => bit)
+ port map (i => i, o => o);
+end struct;