aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/external01/externalerr02.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/external01/externalerr02.vhdl')
-rw-r--r--testsuite/synth/external01/externalerr02.vhdl65
1 files changed, 65 insertions, 0 deletions
diff --git a/testsuite/synth/external01/externalerr02.vhdl b/testsuite/synth/external01/externalerr02.vhdl
new file mode 100644
index 000000000..42af5d5f7
--- /dev/null
+++ b/testsuite/synth/external01/externalerr02.vhdl
@@ -0,0 +1,65 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity externalerr02_sub is
+ port (clk : std_logic;
+ rst : std_logic;
+ a : std_logic_vector(7 downto 0);
+ o : out std_logic_vector(7 downto 0));
+end externalerr02_sub;
+
+architecture behav of externalerr02_sub is
+ signal accum : std_logic_vector(7 downto 0);
+begin
+ process (clk) is
+ begin
+ if rising_edge(clk) then
+ if rst = '1' then
+ accum <= (others => '0');
+ else
+ accum <= std_logic_vector(unsigned(accum) + unsigned(a));
+ end if;
+ end if;
+ end process;
+
+ process (clk) is
+ begin
+ if rising_edge(clk) then
+ if rst = '1' then
+ o <= (others => '0');
+ else
+ o <= accum;
+ end if;
+ end if;
+ end process;
+end behav;
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity externalerr02 is
+ port (clk : std_logic;
+ rst : std_logic;
+ a : std_logic_vector(7 downto 0);
+ accum : out std_logic_vector(7 downto 0);
+ o : out std_logic_vector(7 downto 0));
+end externalerr02;
+
+architecture behav of externalerr02 is
+ component externalerr02_sub is
+ port (
+ clk : std_logic;
+ rst : std_logic;
+ a : std_logic_vector(7 downto 0);
+ o : out std_logic_vector(7 downto 0));
+ end component externalerr02_sub;
+begin
+ dut : entity externalerr02_sub
+ port map (clk => clk,
+ rst => rst,
+ a => a,
+ o => o);
+ accum <= << signal .externalerr02.dut.accum : std_logic_vector(7 downto 0) >>;
+end behav;