aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/tb_rec09.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dispout01/tb_rec09.vhdl')
-rw-r--r--testsuite/synth/dispout01/tb_rec09.vhdl27
1 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/synth/dispout01/tb_rec09.vhdl b/testsuite/synth/dispout01/tb_rec09.vhdl
new file mode 100644
index 000000000..beb53143e
--- /dev/null
+++ b/testsuite/synth/dispout01/tb_rec09.vhdl
@@ -0,0 +1,27 @@
+entity tb_rec09 is
+end tb_rec09;
+
+library ieee;
+use ieee.std_logic_1164.all;
+use work.rec09_pkg.all;
+
+architecture behav of tb_rec09 is
+ signal inp : std_logic;
+ signal r : myrec;
+begin
+ dut: entity work.rec09
+ port map (inp => inp, o => r);
+
+ process
+ begin
+ inp <= '1';
+ wait for 1 ns;
+ assert r.b = '0' severity failure;
+
+ inp <= '0';
+ wait for 1 ns;
+ assert r.b = '1' severity failure;
+
+ wait;
+ end process;
+end behav;