aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/rec03.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dispout01/rec03.vhdl')
-rw-r--r--testsuite/synth/dispout01/rec03.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/synth/dispout01/rec03.vhdl b/testsuite/synth/dispout01/rec03.vhdl
new file mode 100644
index 000000000..763f83a72
--- /dev/null
+++ b/testsuite/synth/dispout01/rec03.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use work.rec03_pkg.all;
+
+entity rec03 is
+ port (inp : std_logic;
+ o : out myrec);
+end rec03;
+
+architecture behav of rec03 is
+begin
+ o.b <= not inp;
+ o.a <= s3 when inp = '0' else s0;
+end behav;