aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/pkg_rec10.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dispout01/pkg_rec10.vhdl')
-rw-r--r--testsuite/synth/dispout01/pkg_rec10.vhdl8
1 files changed, 8 insertions, 0 deletions
diff --git a/testsuite/synth/dispout01/pkg_rec10.vhdl b/testsuite/synth/dispout01/pkg_rec10.vhdl
new file mode 100644
index 000000000..53fefc73b
--- /dev/null
+++ b/testsuite/synth/dispout01/pkg_rec10.vhdl
@@ -0,0 +1,8 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+package rec10_pkg is
+ type myrec is record
+ b : std_logic_vector (1 to 1);
+ end record;
+end rec10_pkg;