aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/tb_rec04.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dispin01/tb_rec04.vhdl')
-rw-r--r--testsuite/synth/dispin01/tb_rec04.vhdl39
1 files changed, 39 insertions, 0 deletions
diff --git a/testsuite/synth/dispin01/tb_rec04.vhdl b/testsuite/synth/dispin01/tb_rec04.vhdl
new file mode 100644
index 000000000..3dba996da
--- /dev/null
+++ b/testsuite/synth/dispin01/tb_rec04.vhdl
@@ -0,0 +1,39 @@
+entity tb_rec04 is
+end tb_rec04;
+
+library ieee;
+use ieee.std_logic_1164.all;
+use work.rec04_pkg.all;
+
+architecture behav of tb_rec04 is
+ signal inp : myrec;
+ signal r : std_logic;
+begin
+ dut: entity work.rec04
+ port map (inp => inp, o => r);
+
+ process
+ begin
+ inp.a <= "0000";
+ inp.b <= '1';
+ wait for 1 ns;
+ assert r = '0' severity failure;
+
+ inp.a <= "0010";
+ inp.b <= '1';
+ wait for 1 ns;
+ assert r = '1' severity failure;
+
+ inp.a <= "1101";
+ inp.b <= '0';
+ wait for 1 ns;
+ assert r = '1' severity failure;
+
+ inp.a <= "1101";
+ inp.b <= '1';
+ wait for 1 ns;
+ assert r = '0' severity failure;
+
+ wait;
+ end process;
+end behav;