aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/rec06.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dispin01/rec06.vhdl')
-rw-r--r--testsuite/synth/dispin01/rec06.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/synth/dispin01/rec06.vhdl b/testsuite/synth/dispin01/rec06.vhdl
new file mode 100644
index 000000000..5e6b4ae45
--- /dev/null
+++ b/testsuite/synth/dispin01/rec06.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use work.rec06_pkg.all;
+
+entity rec06 is
+ port (inp : myrec;
+ o : out std_logic);
+end rec06;
+
+architecture behav of rec06 is
+begin
+ o <= inp.b when inp.a.d > inp.a.c else '0';
+end behav;