aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/pkg_rec06.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dispin01/pkg_rec06.vhdl')
-rw-r--r--testsuite/synth/dispin01/pkg_rec06.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/synth/dispin01/pkg_rec06.vhdl b/testsuite/synth/dispin01/pkg_rec06.vhdl
new file mode 100644
index 000000000..9360a6a70
--- /dev/null
+++ b/testsuite/synth/dispin01/pkg_rec06.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+package rec06_pkg is
+ type myrec2 is record
+ c : natural range 2 to 3;
+ d : unsigned (3 downto 0);
+ end record;
+
+ type myrec is record
+ a : myrec2;
+ b : std_logic;
+ end record;
+end rec06_pkg;