aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/pkg_rec05.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dispin01/pkg_rec05.vhdl')
-rw-r--r--testsuite/synth/dispin01/pkg_rec05.vhdl10
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/synth/dispin01/pkg_rec05.vhdl b/testsuite/synth/dispin01/pkg_rec05.vhdl
new file mode 100644
index 000000000..c73c67f05
--- /dev/null
+++ b/testsuite/synth/dispin01/pkg_rec05.vhdl
@@ -0,0 +1,10 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+package rec05_pkg is
+ type myrec is record
+ a : unsigned (3 downto 0);
+ b : std_logic;
+ end record;
+end rec05_pkg;