aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/pkg_rec03.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dispin01/pkg_rec03.vhdl')
-rw-r--r--testsuite/synth/dispin01/pkg_rec03.vhdl11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/synth/dispin01/pkg_rec03.vhdl b/testsuite/synth/dispin01/pkg_rec03.vhdl
new file mode 100644
index 000000000..2c6204201
--- /dev/null
+++ b/testsuite/synth/dispin01/pkg_rec03.vhdl
@@ -0,0 +1,11 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+package rec03_pkg is
+ type myenum is (s0, s1, s2, s3);
+
+ type myrec is record
+ a : myenum;
+ b : std_logic;
+ end record;
+end rec03_pkg;