aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff01/tb_dff12.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dff01/tb_dff12.vhdl')
-rw-r--r--testsuite/synth/dff01/tb_dff12.vhdl47
1 files changed, 47 insertions, 0 deletions
diff --git a/testsuite/synth/dff01/tb_dff12.vhdl b/testsuite/synth/dff01/tb_dff12.vhdl
new file mode 100644
index 000000000..936a830be
--- /dev/null
+++ b/testsuite/synth/dff01/tb_dff12.vhdl
@@ -0,0 +1,47 @@
+entity tb_dff12 is
+end tb_dff12;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_dff12 is
+ signal clk : std_logic;
+ signal rstn : std_logic;
+ signal din : std_logic;
+ signal dout : std_logic;
+begin
+ dut: entity work.dff12
+ port map (
+ q => dout,
+ d => din,
+ clk => clk,
+ rstn => rstn);
+
+ process
+ procedure pulse is
+ begin
+ clk <= '0';
+ wait for 1 ns;
+ clk <= '1';
+ wait for 1 ns;
+ end pulse;
+ begin
+ rstn <= '1';
+ wait for 1 ns;
+ assert dout = '1' severity failure;
+ rstn <= '0';
+ pulse;
+ assert dout = '0' severity failure;
+ rstn <= '1';
+ din <= '1';
+ pulse;
+ assert dout = '1' severity failure;
+ din <= '0';
+ pulse;
+ assert dout = '0' severity failure;
+ din <= '1';
+ pulse;
+ assert dout = '1' severity failure;
+ wait;
+ end process;
+end behav;