diff options
Diffstat (limited to 'testsuite/synth/case01/case04.vhdl')
-rw-r--r-- | testsuite/synth/case01/case04.vhdl | 19 |
1 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/synth/case01/case04.vhdl b/testsuite/synth/case01/case04.vhdl new file mode 100644 index 000000000..cf90e85ed --- /dev/null +++ b/testsuite/synth/case01/case04.vhdl @@ -0,0 +1,19 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity case04 is + port (a : std_logic_vector (4 downto 0); + o : out std_logic); +end case04; + +architecture behav of case04 is +begin + process (a) + begin + o <= '0'; + case a is + when others => + o <= '1'; + end case; + end process; +end behav; |