aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/blackbox01/testsuite.sh
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/blackbox01/testsuite.sh')
-rwxr-xr-xtestsuite/synth/blackbox01/testsuite.sh14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/synth/blackbox01/testsuite.sh b/testsuite/synth/blackbox01/testsuite.sh
new file mode 100755
index 000000000..9e5802045
--- /dev/null
+++ b/testsuite/synth/blackbox01/testsuite.sh
@@ -0,0 +1,14 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze blackbox1_adder.vhdl blackbox1.vhdl tb_blackbox1.vhdl
+elab_simulate tb_blackbox1
+clean
+
+synth blackbox1.vhdl -e > syn_blackbox1.vhdl
+analyze blackbox1_adder.vhdl syn_blackbox1.vhdl tb_blackbox1.vhdl
+elab_simulate tb_blackbox1
+clean
+
+echo "Test successful"