aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/attr02/tb_leftof01.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/attr02/tb_leftof01.vhdl')
-rw-r--r--testsuite/synth/attr02/tb_leftof01.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/synth/attr02/tb_leftof01.vhdl b/testsuite/synth/attr02/tb_leftof01.vhdl
new file mode 100644
index 000000000..b638b347b
--- /dev/null
+++ b/testsuite/synth/attr02/tb_leftof01.vhdl
@@ -0,0 +1,17 @@
+entity tb_leftof01 is
+end tb_leftof01;
+
+architecture behav of tb_leftof01 is
+ signal i, o : integer := 9;
+begin
+ dut: entity work.leftof01
+ port map (i, o);
+
+ process
+ begin
+ i <= 5;
+ wait for 1 ns;
+ assert o = 4 severity failure;
+ wait;
+ end process;
+end behav;