aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/attr02/pred01.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/attr02/pred01.vhdl')
-rw-r--r--testsuite/synth/attr02/pred01.vhdl9
1 files changed, 9 insertions, 0 deletions
diff --git a/testsuite/synth/attr02/pred01.vhdl b/testsuite/synth/attr02/pred01.vhdl
new file mode 100644
index 000000000..eda38a116
--- /dev/null
+++ b/testsuite/synth/attr02/pred01.vhdl
@@ -0,0 +1,9 @@
+entity pred01 is
+ port (i : integer;
+ o : out integer);
+end pred01;
+
+architecture behav of pred01 is
+begin
+ o <= integer'pred(i);
+end behav;