aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/asgn01/tb_asgn02.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/asgn01/tb_asgn02.vhdl')
-rw-r--r--testsuite/synth/asgn01/tb_asgn02.vhdl26
1 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/synth/asgn01/tb_asgn02.vhdl b/testsuite/synth/asgn01/tb_asgn02.vhdl
new file mode 100644
index 000000000..76382a71b
--- /dev/null
+++ b/testsuite/synth/asgn01/tb_asgn02.vhdl
@@ -0,0 +1,26 @@
+entity tb_asgn02 is
+end tb_asgn02;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_asgn02 is
+ signal s0 : std_logic;
+ signal r : std_logic_vector (2 downto 0);
+begin
+ dut: entity work.asgn02
+ port map (s0 => s0, r => r);
+
+ process
+ begin
+ s0 <= '1';
+ wait for 1 ns;
+ assert r = "010" severity failure;
+
+ s0 <= '0';
+ wait for 1 ns;
+ assert r = "000" severity failure;
+
+ wait;
+ end process;
+end behav;