aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/asgn01/asgn04.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/asgn01/asgn04.vhdl')
-rw-r--r--testsuite/synth/asgn01/asgn04.vhdl22
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/synth/asgn01/asgn04.vhdl b/testsuite/synth/asgn01/asgn04.vhdl
new file mode 100644
index 000000000..0c9149aa4
--- /dev/null
+++ b/testsuite/synth/asgn01/asgn04.vhdl
@@ -0,0 +1,22 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity asgn04 is
+ port (s0 : std_logic;
+ s1 : std_logic;
+ r : out std_logic_vector (2 downto 0));
+end asgn04;
+
+architecture behav of asgn04 is
+begin
+ process (s0, s1) is
+ begin
+ r <= "000";
+ if s0 = '1' then
+ r (1) <= '1';
+ if s1 = '1' then
+ r(1 downto 0) <= "01";
+ end if;
+ end if;
+ end process;
+end behav;