aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/anon01/anon01.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/anon01/anon01.vhdl')
-rw-r--r--testsuite/synth/anon01/anon01.vhdl23
1 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/synth/anon01/anon01.vhdl b/testsuite/synth/anon01/anon01.vhdl
new file mode 100644
index 000000000..892828073
--- /dev/null
+++ b/testsuite/synth/anon01/anon01.vhdl
@@ -0,0 +1,23 @@
+entity anon01_sub is
+ port (i : bit_vector (7 downto 0);
+ o : out bit_vector (7 downto 0));
+end anon01_sub;
+
+architecture behav of anon01_sub is
+begin
+ o <= i xor x"a5";
+end behav;
+
+entity anon01 is
+ port (i : bit_vector (6 downto 0);
+ o : out bit_vector (6 downto 0));
+end anon01;
+
+architecture behav of anon01 is
+ signal res : bit_vector (7 downto 0);
+begin
+ dut: entity work.anon01_sub
+ port map (i => '0' & i,
+ o => res);
+ o <= res (6 downto 0);
+end behav;