diff options
Diffstat (limited to 'testsuite/pyunit')
-rw-r--r-- | testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl | 21 | ||||
-rw-r--r-- | testsuite/pyunit/dom/examples/StopWatch/Utilities.ctx.vhdl | 12 |
2 files changed, 33 insertions, 0 deletions
diff --git a/testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl b/testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl new file mode 100644 index 000000000..1a40718aa --- /dev/null +++ b/testsuite/pyunit/dom/examples/StopWatch/StopWatch.ctx.vhdl @@ -0,0 +1,21 @@ +-- Author: Patrick Lehmann +-- License: MIT +-- +-- A generic counter module used in the StopWatch example. +-- +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +-- Package with stop watch specific types. +package StopWatch_pkg is + subtype T_BCD is unsigned(3 downto 0); + type T_BCD_Vector is array(natural range <>) of T_BCD; + + type T_DIGIT_CONFIGURATION is record + Modulo : positive; + Dot : std_logic; + end record; + + type T_STOPWATCH_CONFIGURATION is array(natural range <>) of T_DIGIT_CONFIGURATION; +end package; diff --git a/testsuite/pyunit/dom/examples/StopWatch/Utilities.ctx.vhdl b/testsuite/pyunit/dom/examples/StopWatch/Utilities.ctx.vhdl new file mode 100644 index 000000000..e6551cffd --- /dev/null +++ b/testsuite/pyunit/dom/examples/StopWatch/Utilities.ctx.vhdl @@ -0,0 +1,12 @@ +-- Author: Patrick Lehmann +-- License: MIT +-- +-- A generic counter module used in the StopWatch example. +-- +context StopWatch_ctx is + library IEEE; + use IEEE.std_logic_1164.all, + IEEE.numeric_std.all; + + use work.StopWatch_pkg.all; +end context; |