aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/012library/pkg1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/lsp/012library/pkg1.vhdl')
-rw-r--r--testsuite/pyunit/lsp/012library/pkg1.vhdl3
1 files changed, 3 insertions, 0 deletions
diff --git a/testsuite/pyunit/lsp/012library/pkg1.vhdl b/testsuite/pyunit/lsp/012library/pkg1.vhdl
new file mode 100644
index 000000000..0179f2a7b
--- /dev/null
+++ b/testsuite/pyunit/lsp/012library/pkg1.vhdl
@@ -0,0 +1,3 @@
+package pkg1 is
+ constant c : natural := 12;
+end;