diff options
Diffstat (limited to 'testsuite/pyunit/lsp/006opterr')
-rw-r--r-- | testsuite/pyunit/lsp/006opterr/cmds.json | 260 | ||||
-rw-r--r-- | testsuite/pyunit/lsp/006opterr/hdl-prj.json | 4 | ||||
-rw-r--r-- | testsuite/pyunit/lsp/006opterr/replies.json | 116 |
3 files changed, 380 insertions, 0 deletions
diff --git a/testsuite/pyunit/lsp/006opterr/cmds.json b/testsuite/pyunit/lsp/006opterr/cmds.json new file mode 100644 index 000000000..1d95b1da4 --- /dev/null +++ b/testsuite/pyunit/lsp/006opterr/cmds.json @@ -0,0 +1,260 @@ +[ + { + "jsonrpc": "2.0", + "id": 0, + "method": "initialize", + "params": { + "processId": 14698, + "rootPath": ".", + "rootUri": "file://.", + "capabilities": { + "workspace": { + "applyEdit": true, + "workspaceEdit": { + "documentChanges": true + }, + "didChangeConfiguration": { + "dynamicRegistration": true + }, + "didChangeWatchedFiles": { + "dynamicRegistration": true + }, + "symbol": { + "dynamicRegistration": true, + "symbolKind": { + "valueSet": [ + 1, + 2, + 3, + 4, + 5, + 6, + 7, + 8, + 9, + 10, + 11, + 12, + 13, + 14, + 15, + 16, + 17, + 18, + 19, + 20, + 21, + 22, + 23, + 24, + 25, + 26 + ] + } + }, + "executeCommand": { + "dynamicRegistration": true + }, + "configuration": true, + "workspaceFolders": true + }, + "textDocument": { + "publishDiagnostics": { + "relatedInformation": true + }, + "synchronization": { + "dynamicRegistration": true, + "willSave": true, + "willSaveWaitUntil": true, + "didSave": true + }, + "completion": { + "dynamicRegistration": true, + "contextSupport": true, + "completionItem": { + "snippetSupport": true, + "commitCharactersSupport": true, + "documentationFormat": [ + "markdown", + "plaintext" + ], + "deprecatedSupport": true, + "preselectSupport": true + }, + "completionItemKind": { + "valueSet": [ + 1, + 2, + 3, + 4, + 5, + 6, + 7, + 8, + 9, + 10, + 11, + 12, + 13, + 14, + 15, + 16, + 17, + 18, + 19, + 20, + 21, + 22, + 23, + 24, + 25 + ] + } + }, + "hover": { + "dynamicRegistration": true, + "contentFormat": [ + "markdown", + "plaintext" + ] + }, + "signatureHelp": { + "dynamicRegistration": true, + "signatureInformation": { + "documentationFormat": [ + "markdown", + "plaintext" + ] + } + }, + "definition": { + "dynamicRegistration": true + }, + "references": { + "dynamicRegistration": true + }, + "documentHighlight": { + "dynamicRegistration": true + }, + "documentSymbol": { + "dynamicRegistration": true, + "symbolKind": { + "valueSet": [ + 1, + 2, + 3, + 4, + 5, + 6, + 7, + 8, + 9, + 10, + 11, + 12, + 13, + 14, + 15, + 16, + 17, + 18, + 19, + 20, + 21, + 22, + 23, + 24, + 25, + 26 + ] + }, + "hierarchicalDocumentSymbolSupport": true + }, + "codeAction": { + "dynamicRegistration": true, + "codeActionLiteralSupport": { + "codeActionKind": { + "valueSet": [ + "", + "quickfix", + "refactor", + "refactor.extract", + "refactor.inline", + "refactor.rewrite", + "source", + "source.organizeImports" + ] + } + } + }, + "codeLens": { + "dynamicRegistration": true + }, + "formatting": { + "dynamicRegistration": true + }, + "rangeFormatting": { + "dynamicRegistration": true + }, + "onTypeFormatting": { + "dynamicRegistration": true + }, + "rename": { + "dynamicRegistration": true + }, + "documentLink": { + "dynamicRegistration": true + }, + "typeDefinition": { + "dynamicRegistration": true + }, + "implementation": { + "dynamicRegistration": true + }, + "colorProvider": { + "dynamicRegistration": true + }, + "foldingRange": { + "dynamicRegistration": true, + "rangeLimit": 5000, + "lineFoldingOnly": true + } + } + }, + "trace": "off", + "workspaceFolders": [ + { + "uri": "file://005opterr", + "name": "005opterr" + } + ] + } + }, + { + "jsonrpc": "2.0", + "method": "initialized", + "params": {} + }, + { + "jsonrpc": "2.0", + "method": "textDocument/didOpen", + "params": { + "textDocument": { + "uri": "file://files/heartbeat.vhdl", + "languageId": "vhdl", + "version": 1, + "text": "\nlibrary ieee;\nuse ieee.std_logic_1164.all;\n\nentity heartbeat is\n port ( clk: out std_logic);\nend heartbeat;\n\narchitecture behaviour of heartbeat\nis\n constant clk_period : time := 10 ns;\nbegin\n -- Clock process definition\n clk_process: process\n begin\n clk <= '0';\n wait for clk_period/2;\n clk <= '1';\n wait for clk_period/2;\n end process;\nend behaviour;\n\n" + } + } + }, + { + "jsonrpc": "2.0", + "id": 1, + "method": "textDocument/documentSymbol", + "params": { + "textDocument": { + "uri": "file://files/heartbeat.vhdl" + } + } + } +] diff --git a/testsuite/pyunit/lsp/006opterr/hdl-prj.json b/testsuite/pyunit/lsp/006opterr/hdl-prj.json new file mode 100644 index 000000000..9d2798bd1 --- /dev/null +++ b/testsuite/pyunit/lsp/006opterr/hdl-prj.json @@ -0,0 +1,4 @@ +{ "options": { "ghdl_analysis": [ "--unknown-option" ]}, + "files": [ + { "file": "../files/heartbeat.vhdl", "language": "vhdl" } +]} diff --git a/testsuite/pyunit/lsp/006opterr/replies.json b/testsuite/pyunit/lsp/006opterr/replies.json new file mode 100644 index 000000000..e32ae2017 --- /dev/null +++ b/testsuite/pyunit/lsp/006opterr/replies.json @@ -0,0 +1,116 @@ +[ + { + "jsonrpc": "2.0", + "method": "window/showMessage", + "params": { + "type": 1, + "message": "error with option: --unknown-option" + } + }, + { + "jsonrpc": "2.0", + "id": 0, + "result": { + "capabilities": { + "textDocumentSync": { + "openClose": true, + "change": 2, + "save": { + "includeText": true + } + }, + "hoverProvider": false, + "definitionProvider": true, + "referencesProvider": false, + "documentHighlightProvider": false, + "documentSymbolProvider": true, + "codeActionProvider": false, + "documentFormattingProvider": false, + "documentRangeFormattingProvider": true, + "renameProvider": false + } + } + }, + { + "jsonrpc": "2.0", + "method": "textDocument/publishDiagnostics", + "params": { + "uri": "file://files/heartbeat.vhdl", + "diagnostics": [] + } + }, + { + "jsonrpc": "2.0", + "id": 1, + "result": [ + { + "kind": 2, + "name": "heartbeat", + "location": { + "uri": "file://files/heartbeat.vhdl", + "range": { + "start": { + "line": 4, + "character": 0 + }, + "end": { + "line": 6, + "character": 0 + } + } + } + }, + { + "kind": 2, + "name": "behaviour", + "location": { + "uri": "file://files/heartbeat.vhdl", + "range": { + "start": { + "line": 8, + "character": 0 + }, + "end": { + "line": 20, + "character": 0 + } + } + } + }, + { + "kind": 6, + "name": "clk_process", + "location": { + "uri": "file://files/heartbeat.vhdl", + "range": { + "start": { + "line": 13, + "character": 15 + }, + "end": { + "line": 19, + "character": 2 + } + } + }, + "containerName": { + "kind": 2, + "name": "behaviour", + "location": { + "uri": "file://files/heartbeat.vhdl", + "range": { + "start": { + "line": 8, + "character": 0 + }, + "end": { + "line": 20, + "character": 0 + } + } + } + } + } + ] + } +] |