aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/004errprj/replies.json
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/lsp/004errprj/replies.json')
-rw-r--r--testsuite/pyunit/lsp/004errprj/replies.json4
1 files changed, 2 insertions, 2 deletions
diff --git a/testsuite/pyunit/lsp/004errprj/replies.json b/testsuite/pyunit/lsp/004errprj/replies.json
index 4c7f38082..7a16646b6 100644
--- a/testsuite/pyunit/lsp/004errprj/replies.json
+++ b/testsuite/pyunit/lsp/004errprj/replies.json
@@ -4,7 +4,7 @@
"method": "window/showMessage",
"params": {
"type": 1,
- "message": "json error in project file ./hdl-prj.json:1:3"
+ "message": "json error in project file @ROOT@/004errprj/hdl-prj.json:1:3"
}
},
{
@@ -35,7 +35,7 @@
"jsonrpc": "2.0",
"method": "textDocument/publishDiagnostics",
"params": {
- "uri": "file:///../files/hello.vhdl",
+ "uri": "file://@ROOT@/files/hello.vhdl",
"diagnostics": []
}
}