aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/002coverage
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/lsp/002coverage')
-rw-r--r--testsuite/pyunit/lsp/002coverage/cmds.json36
-rw-r--r--testsuite/pyunit/lsp/002coverage/replies.json46
2 files changed, 41 insertions, 41 deletions
diff --git a/testsuite/pyunit/lsp/002coverage/cmds.json b/testsuite/pyunit/lsp/002coverage/cmds.json
index d003972a3..3e53f0e0c 100644
--- a/testsuite/pyunit/lsp/002coverage/cmds.json
+++ b/testsuite/pyunit/lsp/002coverage/cmds.json
@@ -5,8 +5,8 @@
"method": "initialize",
"params": {
"processId": 11082,
- "rootPath": "002coverage",
- "rootUri": "file://002coverage",
+ "rootPath": "@ROOT@/002coverage",
+ "rootUri": "file://@ROOT@/002coverage",
"capabilities": {
"workspace": {
"applyEdit": true,
@@ -203,7 +203,7 @@
"trace": "off",
"workspaceFolders": [
{
- "uri": "file://002coverage",
+ "uri": "file://@ROOT@/002coverage",
"name": "002coverage"
}
]
@@ -219,7 +219,7 @@
"method": "textDocument/didOpen",
"params": {
"textDocument": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"languageId": "vhdl",
"version": 1,
"text": "\nentity adder is\n -- `i0`, `i1`, and the carry-in `ci` are inputs of the adder.\n -- `s` is the sum output, `co` is the carry-out.\n port (i0, i1 : in bit; ci : in bit; s : out bit; co : out bit);\nend adder;\n\narchitecture rtl of adder is\nbegin\n -- This full-adder architecture contains two concurrent assignments.\n -- Compute the sum.\n s <= i0 xor i1 xor ci;\n -- Compute the carry.\n co <= (i0 and i1) or (i0 and ci) or (i1 and ci);\nend rtl;\n\n"
@@ -232,7 +232,7 @@
"method": "textDocument/documentSymbol",
"params": {
"textDocument": {
- "uri": "file://files/adder.vhdl"
+ "uri": "file://@ROOT@/files/adder.vhdl"
}
}
},
@@ -241,7 +241,7 @@
"method": "textDocument/didOpen",
"params": {
"textDocument": {
- "uri": "file://files/adder_tb.vhdl",
+ "uri": "file://@ROOT@/files/adder_tb.vhdl",
"languageId": "vhdl",
"version": 1,
"text": "\n-- A testbench has no ports.\nentity adder_tb is\nend adder_tb;\n\narchitecture behav of adder_tb is\n -- Declaration of the component that will be instantiated.\n component adder\n port (i0, i1 : in bit; ci : in bit; s : out bit; co : out bit);\n end component;\n\n -- Specifies which entity is bound with the component.\n for adder_0: adder use entity work.adder;\n signal i0, i1, ci, s, co : bit;\nbegin\n -- Component instantiation.\n adder_0: adder port map (i0 => i0, i1 => i1, ci => ci,\n s => s, co => co);\n\n -- This process does the real job.\n process\n type pattern_type is record\n -- The inputs of the adder.\n i0, i1, ci : bit;\n -- The expected outputs of the adder.\n s, co : bit;\n end record;\n -- The patterns to apply.\n type pattern_array is array (natural range <>) of pattern_type;\n constant patterns : pattern_array :=\n (('0', '0', '0', '0', '0'),\n ('0', '0', '1', '1', '0'),\n ('0', '1', '0', '1', '0'),\n ('0', '1', '1', '0', '1'),\n ('1', '0', '0', '1', '0'),\n ('1', '0', '1', '0', '1'),\n ('1', '1', '0', '0', '1'),\n ('1', '1', '1', '1', '1'));\n begin\n -- Check each pattern.\n for i in patterns'range loop\n -- Set the inputs.\n i0 <= patterns(i).i0;\n i1 <= patterns(i).i1;\n ci <= patterns(i).ci;\n -- Wait for the results.\n wait for 1 ns;\n -- Check the outputs.\n assert s = patterns(i).s\n report \"bad sum value\" severity error;\n assert co = patterns(i).co\n report \"bad carry out value\" severity error;\n end loop;\n assert false report \"end of test\" severity note;\n -- Wait forever; this will finish the simulation.\n wait;\n end process;\nend behav;\n\n\n"
@@ -254,7 +254,7 @@
"method": "textDocument/documentSymbol",
"params": {
"textDocument": {
- "uri": "file://files/adder_tb.vhdl"
+ "uri": "file://@ROOT@/files/adder_tb.vhdl"
}
}
},
@@ -264,7 +264,7 @@
"method": "textDocument/definition",
"params": {
"textDocument": {
- "uri": "file://files/adder_tb.vhdl"
+ "uri": "file://@ROOT@/files/adder_tb.vhdl"
},
"position": {
"line": 12,
@@ -277,7 +277,7 @@
"method": "textDocument/didChange",
"params": {
"textDocument": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"version": 2
},
"contentChanges": [
@@ -304,7 +304,7 @@
"method": "textDocument/documentSymbol",
"params": {
"textDocument": {
- "uri": "file://files/adder.vhdl"
+ "uri": "file://@ROOT@/files/adder.vhdl"
}
}
},
@@ -313,7 +313,7 @@
"method": "textDocument/didChange",
"params": {
"textDocument": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"version": 3
},
"contentChanges": [
@@ -340,7 +340,7 @@
"method": "textDocument/documentSymbol",
"params": {
"textDocument": {
- "uri": "file://files/adder.vhdl"
+ "uri": "file://@ROOT@/files/adder.vhdl"
}
}
},
@@ -349,7 +349,7 @@
"method": "textDocument/didChange",
"params": {
"textDocument": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"version": 4
},
"contentChanges": [
@@ -375,7 +375,7 @@
"method": "textDocument/didChange",
"params": {
"textDocument": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"version": 5
},
"contentChanges": [
@@ -402,7 +402,7 @@
"method": "textDocument/documentSymbol",
"params": {
"textDocument": {
- "uri": "file://files/adder.vhdl"
+ "uri": "file://@ROOT@/files/adder.vhdl"
}
}
},
@@ -411,7 +411,7 @@
"method": "textDocument/didChange",
"params": {
"textDocument": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"version": 6
},
"contentChanges": [
@@ -438,7 +438,7 @@
"method": "textDocument/documentSymbol",
"params": {
"textDocument": {
- "uri": "file://files/adder.vhdl"
+ "uri": "file://@ROOT@/files/adder.vhdl"
}
}
},
@@ -447,7 +447,7 @@
"method": "textDocument/didChange",
"params": {
"textDocument": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"version": 7
},
"contentChanges": [
diff --git a/testsuite/pyunit/lsp/002coverage/replies.json b/testsuite/pyunit/lsp/002coverage/replies.json
index b7bcf55d2..c73c17cb7 100644
--- a/testsuite/pyunit/lsp/002coverage/replies.json
+++ b/testsuite/pyunit/lsp/002coverage/replies.json
@@ -27,7 +27,7 @@
"jsonrpc": "2.0",
"method": "textDocument/publishDiagnostics",
"params": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"diagnostics": []
}
},
@@ -39,7 +39,7 @@
"kind": 2,
"name": "adder",
"location": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"range": {
"start": {
"line": 1,
@@ -56,7 +56,7 @@
"kind": 2,
"name": "rtl",
"location": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"range": {
"start": {
"line": 7,
@@ -75,7 +75,7 @@
"jsonrpc": "2.0",
"method": "textDocument/publishDiagnostics",
"params": {
- "uri": "file://files/adder_tb.vhdl",
+ "uri": "file://@ROOT@/files/adder_tb.vhdl",
"diagnostics": []
}
},
@@ -87,7 +87,7 @@
"kind": 2,
"name": "adder_tb",
"location": {
- "uri": "file://files/adder_tb.vhdl",
+ "uri": "file://@ROOT@/files/adder_tb.vhdl",
"range": {
"start": {
"line": 2,
@@ -104,7 +104,7 @@
"kind": 2,
"name": "behav",
"location": {
- "uri": "file://files/adder_tb.vhdl",
+ "uri": "file://@ROOT@/files/adder_tb.vhdl",
"range": {
"start": {
"line": 5,
@@ -121,7 +121,7 @@
"kind": 6,
"name": "adder_0",
"location": {
- "uri": "file://files/adder_tb.vhdl",
+ "uri": "file://@ROOT@/files/adder_tb.vhdl",
"range": {
"start": {
"line": 16,
@@ -137,7 +137,7 @@
"kind": 2,
"name": "behav",
"location": {
- "uri": "file://files/adder_tb.vhdl",
+ "uri": "file://@ROOT@/files/adder_tb.vhdl",
"range": {
"start": {
"line": 5,
@@ -158,7 +158,7 @@
"id": 3,
"result": [
{
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"range": {
"start": {
"line": 1,
@@ -176,7 +176,7 @@
"jsonrpc": "2.0",
"method": "textDocument/publishDiagnostics",
"params": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"diagnostics": []
}
},
@@ -188,7 +188,7 @@
"kind": 2,
"name": "adder",
"location": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"range": {
"start": {
"line": 1,
@@ -205,7 +205,7 @@
"kind": 2,
"name": "rtl",
"location": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"range": {
"start": {
"line": 7,
@@ -224,7 +224,7 @@
"jsonrpc": "2.0",
"method": "textDocument/publishDiagnostics",
"params": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"diagnostics": [
{
"source": "ghdl",
@@ -297,7 +297,7 @@
"kind": 2,
"name": "adder",
"location": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"range": {
"start": {
"line": 1,
@@ -314,7 +314,7 @@
"kind": 2,
"name": "rtl",
"location": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"range": {
"start": {
"line": 7,
@@ -333,7 +333,7 @@
"jsonrpc": "2.0",
"method": "textDocument/publishDiagnostics",
"params": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"diagnostics": [
{
"source": "ghdl",
@@ -402,7 +402,7 @@
"jsonrpc": "2.0",
"method": "textDocument/publishDiagnostics",
"params": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"diagnostics": [
{
"source": "ghdl",
@@ -475,7 +475,7 @@
"kind": 2,
"name": "adder",
"location": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"range": {
"start": {
"line": 1,
@@ -492,7 +492,7 @@
"kind": 2,
"name": "rtl",
"location": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"range": {
"start": {
"line": 7,
@@ -511,7 +511,7 @@
"jsonrpc": "2.0",
"method": "textDocument/publishDiagnostics",
"params": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"diagnostics": [
{
"source": "ghdl",
@@ -539,7 +539,7 @@
"kind": 2,
"name": "adder",
"location": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"range": {
"start": {
"line": 1,
@@ -556,7 +556,7 @@
"kind": 2,
"name": "rtl",
"location": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"range": {
"start": {
"line": 7,
@@ -575,7 +575,7 @@
"jsonrpc": "2.0",
"method": "textDocument/publishDiagnostics",
"params": {
- "uri": "file://files/adder.vhdl",
+ "uri": "file://@ROOT@/files/adder.vhdl",
"diagnostics": []
}
}