aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/libghdl/type.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/libghdl/type.vhdl')
-rw-r--r--testsuite/pyunit/libghdl/type.vhdl7
1 files changed, 7 insertions, 0 deletions
diff --git a/testsuite/pyunit/libghdl/type.vhdl b/testsuite/pyunit/libghdl/type.vhdl
new file mode 100644
index 000000000..858c97c08
--- /dev/null
+++ b/testsuite/pyunit/libghdl/type.vhdl
@@ -0,0 +1,7 @@
+package p is
+
+ constant c : natural := 1;
+
+ -- Comment for :vec:
+ type vec is array(natural range <>) of bit_vector(0 to 1);
+end p;