aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.vhdl')
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.vhdl4
1 files changed, 2 insertions, 2 deletions
diff --git a/testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.vhdl b/testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.vhdl
index 7bb6e9cd9..08046e2cc 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/toplevel.StopWatch.vhdl
@@ -59,7 +59,7 @@ begin
Board_Reset <= not NexysA7_GPIO_Button_Reset_n;
-- Debounce input signals
- deb: entity work.Debouncer
+ deb: component Debouncer
generic map (
CLOCK_PERIOD => CLOCK_PERIOD,
BITS => 2
@@ -100,7 +100,7 @@ begin
);
-- 7-segment display
- display: entity work.seg7_Display
+ display: configuration seg7_Display_cfg
generic map (
CLOCK_PERIOD => CLOCK_PERIOD,
DIGITS => Digits'length