aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl')
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl4
1 files changed, 2 insertions, 2 deletions
diff --git a/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl b/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl
index 16a40ccba..e15048dcf 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl
@@ -9,7 +9,7 @@ use IEEE.numeric_std.all;
-- Useful utility functions and types.
-package Utilities is
+package Utilities_pkg is
type freq is range integer'low to integer'high units
Hz;
kHz = 1000 Hz;
@@ -33,7 +33,7 @@ package Utilities is
end package;
-package body Utilities is
+package body Utilities_pkg is
function simulation return boolean is
variable result : boolean := FALSE;
begin