aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/Current.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/Current.vhdl')
-rw-r--r--testsuite/pyunit/Current.vhdl3
1 files changed, 3 insertions, 0 deletions
diff --git a/testsuite/pyunit/Current.vhdl b/testsuite/pyunit/Current.vhdl
index 1f1e6c51c..a63a3c75f 100644
--- a/testsuite/pyunit/Current.vhdl
+++ b/testsuite/pyunit/Current.vhdl
@@ -171,6 +171,9 @@ begin
begin
inst: component OthersDummy;
end generate;
+
+ call: OtherDummy;
+ ende: std.env.stop;
end architecture behav;
package package_1 is