aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/python/units01/demo.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/python/units01/demo.vhdl')
-rw-r--r--testsuite/python/units01/demo.vhdl12
1 files changed, 0 insertions, 12 deletions
diff --git a/testsuite/python/units01/demo.vhdl b/testsuite/python/units01/demo.vhdl
deleted file mode 100644
index ed98c936a..000000000
--- a/testsuite/python/units01/demo.vhdl
+++ /dev/null
@@ -1,12 +0,0 @@
-entity e1 is
-port (
- CLK: in std_logic;
- RST: in std_logic;
- Q: out std_logic_vector(7 downto 0)
-);
-end e1;
-
-architecture behav of e1 is
-begin
- assert false report "arch" severity note;
-end behav;